VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
"signal" in der ENTITY-Portlist?

Anfang   zurück   weiter   Ende
Autor Beitrag
Timm
Gast
New PostErstellt: 25.01.06, 16:28  Betreff: "signal" in der ENTITY-Portlist?  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

Hallo zusammen,

was wird denn durch die Verwendung/Deklaration von Signalen in der Portlist einer Entity bezweckt oder erreicht?
Sind die so definierten Ports dann ausschliesslich interner "Natur" (da die Ports scheinbar als Signale deklariert sind)? Oder wie muss man sich das vorstellen?

sieht dann beispielsweise folgendermassen aus:

entity beispiel is
port (

--inputs:
signal clk : in std_logic;
signal reset : in std_logic;
signal start : in std_logic;
signal stop : in std_logic;
signal events : in std_logic;
--outputs:
signal time_count : out std_logic_vector(3 downto 0);
signal event_count : out std_logic_vector(7 downto 0)

);
end beispiel;

Oder was bewirkt das voranstehende signal?
Bei mir taucht dieses Phaenomen immer im automatisch generierten Code (mit QuartusII) auf und leider habe ich das so noch nie zuvor gesehen.
Bisher bin ich Signalen ausschliesslich in architectures begegnet und habe sie auch nur dort verwendet. Aber was machen die in der Portlist? Leider auch nirgendwo Literatur/Hilfe dazu gefunden.

Also, wenn mich da jemand aufklären/weiterbilden könnte, wäre ich echt dankbar.
Merci schonmal.
nach oben
xGCFx
Stammgast


Beiträge: 33
Ort: Dresden

New PostErstellt: 25.01.06, 18:14  Betreff: Re: "signal" in der ENTITY-Portlist?  drucken  weiterempfehlen Antwort mit Zitat  

Ich denke mal, man kann das Schlüsselwort signal auch weglassen in der entity, wie es auch meistens gehandhabt wird. Ich habe es jedenfalls auch noch nie in dieser Form gesehen. Auch die 'VHDL-Bibel' schweigt sich dazu aus....

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj