VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
File IO bei der Verification

Anfang   zurück   weiter   Ende
Autor Beitrag
gutz
Registrierter Benutzer


Beiträge: 1


New PostErstellt: 03.03.04, 13:29  Betreff: File IO bei der Verification  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

Hallo erst einmal!
Ich habe eine Testbench entworfen die aus einem Textfile Bilddaten list. Nach möglichkeit möchte ich ganze Videosequenzen Simulieren. Wie kann man nach dem erreichen der letzten Zeile eines File wieder an den Anfang des File kommen. Es ist nicht wirklich wichtig das es unterschiedliche Daten sind!

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 07.03.04, 13:32  Betreff:  Re: File IO bei der Verification  drucken  weiterempfehlen Antwort mit Zitat  

Antwort dauert noch ein bisschen!

Gruesse,

Michael

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Gast
New PostErstellt: 11.03.04, 09:31  Betreff: Re: File IO bei der Verification o.T.  drucken  weiterempfehlen Antwort mit Zitat  

nach oben
gutz
Gast
New PostErstellt: 11.03.04, 09:39  Betreff: Re: File IO bei der Verification  drucken  weiterempfehlen Antwort mit Zitat  

Hallo,
ich habe mir überlegt, wenn ich einen Process oder eine Procedure neu starten lasse dann wird die Open File Funktion wieder ausgeführt. D.h. so wurde mein wunsch erfüllt. Ich habe bis jetzt noch keine Funktion gefunden die einen geöffneten File wieder schliesst. Da das öffnen der Datei nach dem Schlüsselwort Process oder Procedure und vor Begin
steht, kann ich mir nicht vorstellen das während diese aktiv sind ein sprung an den Anfang möglich ist. Vieleicht kannst du noch etwas heraus finden.
Ach, herzlichen Glückwunsch und noch einen schönen Urlaub!!
nach oben
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 31.03.04, 22:01  Betreff: Re: File IO bei der Verification  drucken  weiterempfehlen Antwort mit Zitat  

Hallö,

etwas spät, aber hier eiene Lösungsmöglichkeit:

library ieee; use ieee.std_logic_1164.all;

entity stimulus_generator is
end entity stimulus_generator;


architecture test of stimulus_generator is



begin

-- code from book

stimulus_generator : process is

type directory_file is file of string;
file directory : directory_file open read_mode is "stimulus-directory";
variable file_name : string(1 to 50);
variable file_name_length : natural;
variable open_status : file_open_status;

subtype stimulus_vector is std_logic_vector(0 to 9);
type stimulus_file is file of stimulus_vector;
file stimuli : stimulus_file;
variable current_stimulus : stimulus_vector;
-- . . .

begin
file_loop : while not endfile(directory) loop
read( directory, file_name, file_name_length );
if file_name_length > file_name'length then
report "file name too long: " & file_name & "... - file skipped"
severity warning;
next file_loop;
end if;
file_open ( open_status, stimuli,
file_name(1 to file_name_length), read_mode );
if open_status /= open_ok then
report file_open_status'image(open_status) & " while opening file "
& file_name(1 to file_name_length) & " - file skipped"
severity warning;
next file_loop;
end if;
stimulus_loop : while not endfile(stimuli) loop
read(stimuli, current_stimulus);
-- . . . -- apply the stimulus
end loop stimulus_loop;
file_close(stimuli);
end loop file_loop;
wait;
end process stimulus_generator;

-- end code from book

end architecture test;


Gruesse,

Michael

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj