VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
VHDL error message

Anfang   zurück   weiter   Ende
Autor Beitrag
Roberto Baena
Gast
New PostErstellt: 15.02.06, 10:54  Betreff: VHDL error message  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

Hi!

I've written some VHDL code and I got the following error message:

Error: Can't resolve multiple constant drivers for net sensor_sigte[1] at Simulacion_SSI.vhd(104)

Can anybody help me with this?
The code is posted below. If you need the complete program tell me and I'll send you. Of course I have posted here the part of the code I think is necessary for corrections.

Thanks!!!!!

XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX

ETAPA_ENVIO: process -- LÍNEA 104
begin
wait until (step=2);
case (sensor) is
when 1 =>
control <= "01";
sensor_sigte <= 2;
when 2 =>
control <= "10";
sensor_sigte <= 3;
when 3 =>
control <= "11";
sensor_sigte <= 1;
end case;
irq_aux <= '1';
step_sigte <= 3;
data_paralelo_out <= data_paralelo_aux;
end process;

SINCRO: process (CLK_48M, RESET)
begin

if (RESET='1') then
step <= 1;
step_sigte <= 1;
sensor <= 1;
sensor_sigte <= 1;

elsif ((CLK_48M'EVENT) AND (CLK_48M='1')) then
control_ssi <= control; -- dato de sensor
data_paralelo <= data_paralelo_out; -- dato de posición
time_ssi <= contador_out; -- diferencia de tiempo
irq <= irq_aux; -- señal de interrupción para la CPU

sensor <= sensor_sigte;
step <= step_sigte;
end if;
end process;
nach oben
Ines
Gast
New PostErstellt: 15.02.06, 12:11  Betreff: Re: VHDL error message  drucken  weiterempfehlen Antwort mit Zitat  

Hi Roberto!

In your 2nd process SINCRO you reseted sensor_sigte. As you don't use the signal afterwards and as you assign it in the upper process you should delete this line:

if (RESET='1') then
step <= 1;
-- step_sigte <= 1;
sensor <= 1;
sensor_sigte <= 1;
...

Ines
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj