VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 10.03.04, 21:04     Betreff: Xilinx Web Pack, VHDL, ERROR NgdBuild:605 Antwort mit Zitat  

Pampers Feuchte Tücher Sensitive Vor...
hallo,
ich habe ein kleines problem mit meinem VHDL schaltungentwurf.
Da ich eine etwas größeren schaltungsentwurf
zu realisiern habe, bin ich wie folgt vorgegangen:
habe einzelne packages entworfen und erfolgreich simuliert. um den ablauf der kompletten schaltung koordinieren zu können, habe ich ein schaltwerk entworfen das einzelne states durchlaufen soll. Jedoch, wenn ich dieses schaltwerk mit allen eingefügten packages compiliere tritt ein fehler auf.
Error:
Process "Translate" did not complete. als tatsächlicher Error wird mir folgendes angezeigt:
ERROR: NGDBUILD605- logical root block 'schaltwerk' with type 'schaltwerk' is unexpanded. Symbol 'schaltwerk' is not supported in target 'xc9500'.
der ausgewählte Baustein, für den ich dieses programm schreibe ist der xc95108-10pc84. diesen habe ich auch in meiner Xilinx software ausgewählt.

Leider habe ich nicht so viel erfahrung mit VHDL um auf die Ursache des Fehlers zu schließen. Auch habe ich habe das schaltwerk mehrere male abgeändert doch leider zeigt sich immer der selbe fehler. ich wäre sehr dankbar, wenn mir jemand bei diesem problem weiter helfen könnte.
Gruß ZewaS

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 2.908 von 2.992
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj