VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
Xilinx Web Pack, VHDL, ERROR NgdBuild:605

Anfang   zurück   weiter   Ende
Seite: 1, 2, 3
Autor Beitrag
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 10.03.04, 21:04  Betreff: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

hallo,
ich habe ein kleines problem mit meinem VHDL schaltungentwurf.
Da ich eine etwas größeren schaltungsentwurf
zu realisiern habe, bin ich wie folgt vorgegangen:
habe einzelne packages entworfen und erfolgreich simuliert. um den ablauf der kompletten schaltung koordinieren zu können, habe ich ein schaltwerk entworfen das einzelne states durchlaufen soll. Jedoch, wenn ich dieses schaltwerk mit allen eingefügten packages compiliere tritt ein fehler auf.
Error:
Process "Translate" did not complete. als tatsächlicher Error wird mir folgendes angezeigt:
ERROR: NGDBUILD605- logical root block 'schaltwerk' with type 'schaltwerk' is unexpanded. Symbol 'schaltwerk' is not supported in target 'xc9500'.
der ausgewählte Baustein, für den ich dieses programm schreibe ist der xc95108-10pc84. diesen habe ich auch in meiner Xilinx software ausgewählt.

Leider habe ich nicht so viel erfahrung mit VHDL um auf die Ursache des Fehlers zu schließen. Auch habe ich habe das schaltwerk mehrere male abgeändert doch leider zeigt sich immer der selbe fehler. ich wäre sehr dankbar, wenn mir jemand bei diesem problem weiter helfen könnte.
Gruß ZewaS

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 10.03.04, 21:57  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Hallo,

kannst Du mir das Project zusenden?
Ist so ein bisschen schwierig.
Hast Du schon mal den xilinx Support kontaktiert?
Was hat die Synthese für "errors" und "warnings" gezeigt?

Gruesse,

Michael

P.S: So ein Fehler tritt normalerweise auf wenn er etwas nicht übersetzt hat (unexpanded)
findest du deine dateien in dem unterordner work wieder?

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 10.03.04, 22:53  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Danke für ihr direktes antworten. anbei dieser antwort ist der quellcode des schaltwerks. leider konnte ich nicht den kompletten projektumfang anhängen. wenn dies zum verständnis nötig ist, könnte ich ihnen das per email zu senden. leider ist zur zeit das schaltwerk nicht besonders kommentiert, da ich gestern noch einige dinge ohne erfolg geändert habe.
zu ihren fragen:
zur zeit bekomme ich nur diese eine fehlermeldung jedoch mehrere Warnings wie zum beispiel:
Multisource in unit schaltwerk on signal E not replaced by logic.
Design contains no instances.
Input S is never used.
Dies war nur eine kleine auswahl der warnings.
meine Dateien finde ich in dem ordner work wieder.
ich bin ihnen sehr dankbar, wenn sie mir weiterhelfen können.



Dateianlagen:

Schaltwerk.vhd (6 kByte)
anzeigen - speichern
Datei wurde schon 506-mal heruntergeladen.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 11.03.04, 07:54  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Hallo,

vielen Dank erstmal für den Code.
Ich brauche aber auch die packages.

Noch ein Tipp: mach für jede entity ein file!
Das erleichtert die verifivcation und Fehlersuche, und entspricht den meisten designguidelines.


Gruesse,

Michael

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 11.03.04, 14:33  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Ich hatte ihnen die files als anhang in der email von gestern abend begefügt. Leider kann ich immer nur eine file versenden. anbei dieser mail das erste file die Taktpausenerkennung



Dateianlagen:

Taktpausenerkennung.vhd (1 kByte)
anzeigen - speichern
Datei wurde schon 576-mal heruntergeladen.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 11.03.04, 14:38  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

File Nr.2 Adressschieberegister



Dateianlagen:

Adressschieberegister.vhd (2 kByte)
anzeigen - speichern
Datei wurde schon 584-mal heruntergeladen.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 11.03.04, 14:39  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

File Nr.3 Adresserkennung



Dateianlagen:

Adresse.vhd (2 kByte)
anzeigen - speichern
Datei wurde schon 612-mal heruntergeladen.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 11.03.04, 14:40  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

File Nr.4 Datenschieberegister



Dateianlagen:

Daten.vhd (3 kByte)
anzeigen - speichern
Datei wurde schon 483-mal heruntergeladen.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 11.03.04, 14:41  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

File Nr. 5 Datenausgabe



Dateianlagen:

test.vhd (2 kByte)
anzeigen - speichern
Datei wurde schon 524-mal heruntergeladen.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 11.03.04, 16:03  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Hallö,

Du hast folgendes gemacht:

----------------------------------------------------------------------------------------------------------------
state<="1111";
werk: process (fastclk, rese, state, cy, q, enable, di)
begin
if ( fastclk='1' and fastclk'event)then

if state="1111" and rese='1' then state <= "0000";
else state <= "1111";
end if;

if cy='0'and state="0000" then state <= "0001";
end if;

if cy='1'and state="0000" then state<= "0010";
end if;

if q='0' and state="0010"then state <= "0011";
end if;

if q='1' and state="0010" then state<="0000";
end if;

if enable='1' and cy='0' and state="0011" then state <= "0100";
end if;

if enable='Z' and state="0011" then state<="0000";
end if;

if cy='1' and state="0100" then state <= "0101";
end if;

if di='0' and state="0101" then state <= "0110";
end if;

if di='1' and state="0101" then state<="0000";
end if;

if state="0110" then state <= "0111";
end if;
end if;
end process werk;

Du weisst dem Signal "sate" eien wert innerhalb und ausserhalb eines processes einen Wert zu. Das ist VERBOTEN : Multisource.

Weiterhin schreiben die componeten "test" und "daten" gleichzeitig auf die Signale b c d e. Auch das ist verboten: Multisource

Ausßerdem solltest Du wie schon erwähnt den package kram weglassen. Das macht man nicht, und außerdem geht es glaube ich auch nicht eine entity innerhalb eines packages zu declarieren.

Ändere bitte sachen und schicke mir die files erneut zu.

Kannst auch meine priv. email nutzen. siehe Mitgliederdaten


Gruesse,

Michael

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 11.03.04, 16:31  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Danke für diese ratschläge. ich werde mein programm gleich umschreiben. Jedoch verstehe ich ihren Vorschlag nicht, dass ich die packages weglassen soll. ihrendwie muß ich die files doch in mein schaltwerk einbinden, ohne das ich alles in ein file schreibe. wie muß ich die packages umschreiben, dass sie korrekt sind?
danke für ihre mühe.
mit freundlichen grüßen
zewas

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite: 1, 2, 3
Seite 1 von 3
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj