VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Dr. Faustus

Administrator

Beiträge: 107


New PostErstellt: 11.03.04, 16:47     Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605 Antwort mit Zitat  

Blutige Rache: Wegners schwerste Fäl...
lösche einfach aus jeden file die package declerationen.

Im "Schaltwerk" brachst du nur die componeten zu declarieren.

Gruesse,

Michael

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 2.915 von 2.994
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj