VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Bergvagabund
New PostErstellt: 01.07.04, 21:12     Betreff: Re: Umsetzung rising_edge(clk) Antwort mit Zitat  

Downton Abbey - Staffel Drei [4 DVDs...
Die Schreibweise "rising_edge(clk)" würde einzeln ausgeschrieben bedeuten:

clk = '1' AND clk'event AND clk'last_value = '0'

Das heisst bei der einfachen Schreibweise ohne das Attribut "last_event" sind nur die Übergänge von 0 -> 1 abgedeckt, aber nicht z.B. der Übergang von X -> 1. In der Synthese hat dies keine Bedeutung, jedoch in der reinen abstrakten Modellbeschreibung schon.
nach oben
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 2.929 von 3.032
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj