VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Dr. Faustus

Administrator

Beiträge: 107


New PostErstellt: 13.03.04, 21:44     Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605 Antwort mit Zitat  

Bench Damen Fleecejacke Funnelneck, ...
Hallo,

wenn das ganze jetzt funktioniert, ist das ja schon mal gut.

Hier noch einige Tipps zum Coding-Style:

folgendes :
if ( fastclk='1' and fastclk'event)then
sollte so gemacht werden:
if rising_edge(fastclk) then

der "werk" process ist im Prinzip eine STATE Machine. Er sollte dann auch so beschrieben werden.
Du solltest Dir ansehen wie man State-Machines in VHDL codiert.

Es ist zu empfehlen:
port map (rese, cy, takt, q, dout, ain);
so zu schreieben
port map (rese => ....,
cy => .....,
takt => .....,
....
);

----------------

elsif cy ='0'and slclk'event and slclk='0' then

Hier sollte cy aus der clock beschreibung des FF rausgenommen werden (extra beschreiben)

-----------------


Der process übergabe erzeugt ein latch - latches solten vermieden werden - nur getacktete processe


ok - das wars deke ich.

noch viel spass

Michael

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 2.931 von 2.994
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj