VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
Xilinx Web Pack, VHDL, ERROR NgdBuild:605

Anfang   zurück   weiter   Ende
Seite: 1, 2, 3
Autor Beitrag
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 11.03.04, 16:31  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Danke für diese ratschläge. ich werde mein programm gleich umschreiben. Jedoch verstehe ich ihren Vorschlag nicht, dass ich die packages weglassen soll. ihrendwie muß ich die files doch in mein schaltwerk einbinden, ohne das ich alles in ein file schreibe. wie muß ich die packages umschreiben, dass sie korrekt sind?
danke für ihre mühe.
mit freundlichen grüßen
zewas

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 11.03.04, 16:47  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

lösche einfach aus jeden file die package declerationen.

Im "Schaltwerk" brachst du nur die componeten zu declarieren.

Gruesse,

Michael

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 11.03.04, 17:10  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

ok, werde ihre ratschläge ausprobieren. sende das projekt ihnen später per email.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 13.03.04, 15:06  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Haben sie die email bekommen? wenn nicht, sende ich sie ihnen nochmal.
mit freundlichen grüßen
ZewaS

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 13.03.04, 21:44  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Hallo,

wenn das ganze jetzt funktioniert, ist das ja schon mal gut.

Hier noch einige Tipps zum Coding-Style:

folgendes :
if ( fastclk='1' and fastclk'event)then
sollte so gemacht werden:
if rising_edge(fastclk) then

der "werk" process ist im Prinzip eine STATE Machine. Er sollte dann auch so beschrieben werden.
Du solltest Dir ansehen wie man State-Machines in VHDL codiert.

Es ist zu empfehlen:
port map (rese, cy, takt, q, dout, ain);
so zu schreieben
port map (rese => ....,
cy => .....,
takt => .....,
....
);

----------------

elsif cy ='0'and slclk'event and slclk='0' then

Hier sollte cy aus der clock beschreibung des FF rausgenommen werden (extra beschreiben)

-----------------


Der process übergabe erzeugt ein latch - latches solten vermieden werden - nur getacktete processe


ok - das wars deke ich.

noch viel spass

Michael

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 14.03.04, 18:17  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Können Sie mir einige anregungen geben, wie ich meinen process "werk" zu einer state machine umschreibe. bislang hab ich noch keine hilfreiche Lösung gefunden.
mit freundlichen Grüßen
ZewaS

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 14.03.04, 19:53  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Hier gibt es einiges zu Sate Machines: http://www.vhdl-online.de/FSM/


Ich favorisiere den : One State Process


Gruesse

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 16.03.04, 11:07  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

habe mein schaltwerk umgeschrieben, als beispiel nahm ich den one state Process. nun wollte ich meinem Programm die Pinbelegung übergeben, jedoch erkennt er meinen fastclk nicht. dieser soll den internen ablauf des Schaltwerkes takten. was habe ich bei der Schaltwerksbeschreibung falsch gemacht? warum erkennt er diesen fastclk nicht?

mit freundlichen grüßen
ZewaS



Dateianlagen:

schaltwerk.vhd (7 kByte)
anzeigen - speichern
Datei wurde schon 529-mal heruntergeladen.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 16.03.04, 11:39  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Hast Du die portdeclerationen vom Adressschieberegister geändert?

Hier die componentendecleration:

component Adressschieberegister
port(r: in std_logic;
intclk: in std_logic; --Takt von 500kHz--
cy:out std_logic; --freigabe des adressschieberegister--
clk:in std_logic;
--reset:in std_logic; --manuelles Rücksetzen des Adress-FlipFlops--
q: out std_logic;
dout:out std_logic_vector (7 downto 0); --Adress-Schieberegisterausgang--
din: in std_logic);
end component;

Hier die entity :

entity Adressschieberegister is
port(r: in std_logic;
--intclk: in std_logic; --Takt von 500kHz--
cy:in std_logic; --freigabe des adressschieberegister--
clk:in std_logic;
--reset:in std_logic; --manuelles Rücksetzen des Adress-FlipFlops--
q: out std_logic;
dout:out std_logic_vector (7 downto 0); --Adress-Schieberegisterausgang--
din: in std_logic);

end Adressschieberegister;

Das passt nicht.

Gruesse,

Michael

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 16.03.04, 12:05  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

Hallo,
Sorry hatte ich gar nicht erwähnt, hatte gestern das Adressschieberegister nochmals geändert (die Takpausenerkennung für jedes einzelene Schieberegister vorgesehen).
Habe trotzdem die Ports nochmal durchgeschaut, die stimmen, aber der Fehler ist immer noch vorhanden. ich sende ihnen die geänderten files.

Mit freundlichen grüßen
ZewaS



Dateianlagen:

Adressschieberegister.vhd (3 kByte)
anzeigen - speichern
Datei wurde schon 635-mal heruntergeladen.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 16.03.04, 12:07  Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605  drucken  weiterempfehlen Antwort mit Zitat  

File Nr: 2
Adressierungserkennung



Dateianlagen:

Adresse.vhd (1 kByte)
anzeigen - speichern
Datei wurde schon 592-mal heruntergeladen.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite: 1, 2, 3
Seite 2 von 3
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj