VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
ZewaS
Junior-Mitglied


Beiträge: 17

New PostErstellt: 16.03.04, 12:05     Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605 Antwort mit Zitat  

Soehnle 658401 digitale Küchenwaage ...
Hallo,
Sorry hatte ich gar nicht erwähnt, hatte gestern das Adressschieberegister nochmals geändert (die Takpausenerkennung für jedes einzelene Schieberegister vorgesehen).
Habe trotzdem die Ports nochmal durchgeschaut, die stimmen, aber der Fehler ist immer noch vorhanden. ich sende ihnen die geänderten files.

Mit freundlichen grüßen
ZewaS



Adressschieberegister.vhd (3 kByte)
anzeigen - speichern
Datei wurde schon 637-mal heruntergeladen.
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 2.924 von 2.994
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj