VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
Reset erzeugen

Anfang   zurück   weiter   Ende
Autor Beitrag
Rudi
Registrierter Benutzer


Beiträge: 1

New PostErstellt: 23.09.07, 16:21  Betreff: Reset erzeugen  drucken  weiterempfehlen Antwort mit Zitat  

Hallo miteinander,
Da ich nur über ein dauer Enable Signal(kein Reset vorhanden ) möchte ich aus diesem ein Reset erzeugen. Die
simulation (siehe Anhang) funktioniert soweit, nur bei der sysnthese
bekomme ich die Fehlermeldung: 'unsupported or non-rtl constructs
detected in the following modules'. im "Flag_proc" Prozess.
Könnte mir jemand sagen wie ich das korrigieren kann?

Vielen Dank.
Grüsße


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;


entity ResetGenerator is
port( clock : in std_logic;
iEnablePin : in std_logic;
oResetOn : out std_logic
);
end entity;

architecture ResetGenerator_arch of ResetGenerator is

signal s_SetReset, s_ResetSet : std_logic;
signal s_count : natural;

begin
Flag_proc: process(iEnablePin,s_ResetSet)
begin
if iEnablePin'event and iEnablePin = '1' and s_ResetSet = '0'
then
s_SetReset <= '1';
else
s_SetReset <= '0';
end if;
end process;

Rst_proc : process(clock,s_SetReset)
begin
if rising_edge(clock) then
-- oResetOn <= '0';
s_count <= 0;
if s_SetReset = '1' then
for i in 0 to 2 loop
s_count <= s_count+1;
oResetOn <= '1';
end loop;
s_ResetSet <= '1';
else
oResetOn <= '0';
s_ResetSet <= '0';
end if;
end if;
end process;
end architecture;



Dateianlagen:

resetGenerator.PNG (18 kByte, 1.070 x 264 Pixel)
Anzeige optimiert für beste Darstellung.
Großansicht - speichern

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj