VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Samuel Wenz
New PostErstellt: 19.02.04, 15:24     Betreff: Anfängerfragen zu VHDL Antwort mit Zitat  

Eve und der letzte Engländer
Hallo im VHDL Forum. :-)

ich bin blutiger Anfänger und möchte mal nichts weiter realisieren, wie das blinken von einer LED auf meinem Entwicklungsboard (Avnet Virtex II Pro Evaluation Kit)

ich weiss schon, dass es 3 Bereiche in VHDL gibt:
* Entity
* Architecture
* Configuration

Ich arbeite mit der ISE 5.2i und habe schon ein Projekt erstellt. Aber wo schreibe ich nun die Adresse der LED rein? (BRG_LED6 aus Stromlaufplan) und wo realisiere ich das Blinken?

Bin dankbar über jegliche schnelle Hilfe, wenn es geht...
Gruß
Samuel
nach oben
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 2.999 von 3.037
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj