VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
LFSR

Anfang   zurück   weiter   Ende
Autor Beitrag
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 21.01.10, 13:54  Betreff: Re: LFSR  drucken  weiterempfehlen Antwort mit Zitat  

Das signal X muss innerhalb des prozesses als Variable und nicht übergeordnet als signal deklariert sein.

Du solltest den code mal simulieren. Damit lernt mann am besten.

cu

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 2 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj