VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
*vhd Dateien verknüpfen

Anfang   zurück   weiter   Ende
Autor Beitrag
Antonio
Gast
New PostErstellt: 29.01.10, 11:12  Betreff: *vhd Dateien verknüpfen  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

Hallo,

ich habe in VHDL einen Quelltext für das Spartan 3A geschrieben.

Der Quelltext ist aber jetzt sehr lang geworden, da ich mehrere kaskadierbare Zähler verwendet habe.

Kann man die Zähler eventuell in andere *.vhd Dateien innerhalb des Projektes auslagern und Schnittstellen zwischen den einzelnen Dateien definieren?
nach oben
Dr. Faustus

Administrator

Beiträge: 107
Ort: Aßling


New PostErstellt: 29.01.10, 11:17  Betreff: Re: *vhd Dateien verknüpfen  drucken  weiterempfehlen Antwort mit Zitat  

Hallo Antonio,

prinzipiell geht das. -->Component

Wie sieht denn Dein Quellcode aus?
Hänge ihn doch einfach mal als Datei an.

Gruesse,

Michael


[editiert: 29.01.10, 11:18 von Dr. Faustus]
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
toggle_2
Registrierter Benutzer


Beiträge: 3

New PostErstellt: 29.01.10, 11:36  Betreff: Re: *vhd Dateien verknüpfen  drucken  weiterempfehlen Antwort mit Zitat  

Die Datei ist noch nicht ganz komplett und die Zähler sind noch nicht kaskadiert, aber das Prinzip stimmt soweit in der Datei

[editiert: 29.01.10, 11:37 von toggle_2]



Dateianlagen:

daten.vhd (6 kByte)
anzeigen - speichern
Datei wurde schon 206-mal heruntergeladen.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Gast
New PostErstellt: 29.01.10, 13:24  Betreff: Re: *vhd Dateien verknüpfen  drucken  weiterempfehlen Antwort mit Zitat  

Du kannst jede Entity oder Architecture (oder Configuration) in ein eigenes File packen. Wenn Du ne Menge an Deklarationen hast (z.B. Aufzählungstypen oder Packages, dann kann man diese auch in ein eigenes File packen (auch wiederum getrennt Package und Package Body).
nach oben
Gast
New PostErstellt: 29.01.10, 13:27  Betreff: Re: *vhd Dateien verknüpfen  drucken  weiterempfehlen Antwort mit Zitat  

    Zitat: Gast
    Du kannst jede Entity oder Architecture (oder Configuration) in ein eigenes File packen. Wenn Du ne Menge an Deklarationen hast (z.B. Aufzählungstypen oder Packages, dann kann man diese auch in ein eigenes File packen (auch wiederum getrennt Package und Package Body).
Uups hab die Datei ersz zu spät gelesen. Ggf. könnte man so nen prozess in ne separates Modul packen, aber ind em beispiel würd ich den Code nicht auseinanderreissen. Ist duch auch nicht soooo lang, da hab ich schon schlimmeres gesehen.
nach oben
toggle_2
Registrierter Benutzer


Beiträge: 3

New PostErstellt: 29.01.10, 19:22  Betreff: Re: *vhd Dateien verknüpfen  drucken  weiterempfehlen Antwort mit Zitat  

ja, das mit dem seperaten Modul klingt gut... Das was ich da programmiert hab ist ja nur ein Beispiel, das ich mir mal angefertigt habe, um gewisse Dinge auszuprobieren. Das ganze wird hinterher viel größer. Nur ich programmier nicht erst ein riesen Programm, um dann hinterher total durcheinander zu kommen...

Wie geht das denn mit dem seperaten Modul? Ich will so eine Art Baukasten machen, in dem ich ein teil zur Auswertung lasse und einzelne Komponenten davor schalten will, um diese auszuwerten.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
toggle_2
Registrierter Benutzer


Beiträge: 3

New PostErstellt: 01.02.10, 11:17  Betreff: Re: *vhd Dateien verknüpfen  drucken  weiterempfehlen Antwort mit Zitat  

hat sich erledigt, habs selber raus bekommen

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj