VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Gast
New PostErstellt: 07.06.10, 15:09     Betreff: Re: ichbins Antwort mit Zitat  

Farbenspiel
Lt. Google ...

The function provided by the std_logic_arith library can't convert a std_logic_vector to an integer because it is impossible to determine if it represents an unsigned or signed value. Functions that do this are included in the std_logic_unsigned and std_logic_signed libraries.

D.h. musst du anderst schreiben. Vielleicht hilft das ja weiter.
nach oben
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 380 von 864
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj