VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Bogomil
Registrierter Benutzer


Beiträge: 3

New PostErstellt: 17.09.06, 19:05     Betreff: Re: Addition unsigned mit natural Antwort mit Zitat  

ALPINA Erwachsene Skihelm Grap, Blac...
    Zitat: xGCFx

    ARCHITECTURE nur_bedieneinheit OF bedieneinheit IS
    SIGNAL Z : unsigned(7 downto 0);
    BEGIN
    Z <= to_unsigned(integer(40),8);
    .
    .
    PROCESS(...)
    BEGIN
    IF ..... AND integer(Z) > 40 THEN
    Z <= Z - 1;
Ist doch deutlich schöner,...ich werd das auch so noch übernehmen...sollte irgendjemand meinen Code lesen wollen freut der sich bestimmt wenn er nicht erst alles in dezimalsystem umrechnen muss zumal das ja gängiger ist

Jetzt weiß ich auch warum meine Code nicht eingerückt ist ! Naja....VHDL nimmt das mit Groß und kleinschreibung ja auch nicht so genau!

Funzt trotzdem nicht: Naja wenn ihr auf Zitat geht könnt ihr den Code eingerückt sehen! ;-)


[editiert: 17.09.06, 19:08 von Bogomil]
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 582 von 864
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj