VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Sven
New PostErstellt: 21.02.05, 17:16     Betreff: Impulsabstandsmessung Antwort mit Zitat  

Hi, ich habe Probleme mit VHDL.

Ich möchte gerne eine Impulsabstandmessung durchführen.
D.h ich habe ein digitales Signal welches regelmäßig den Wert 1 und 0 hat.
Jetzt möchte ich mit einer Referenzfrequenz, die deutlich höher ist als mein obiges Signal, das Signal abtasten und die Impulse der Referenzfrequenz zwischen zwei steigenden Flanken des Signals zählen.
Wie kann ich das am besten in VHDL realisieren?

MfG
Sven
nach oben
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 779 von 863
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj