VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Katja
New PostErstellt: 01.03.05, 09:59     Betreff:  Lauflicht & Würfel ... bitte helft mir! Antwort mit Zitat  

Elli Damen-Ohrstecker-Set 925 Silber...
Hallo ihr lieben, ich bin ziemlich verzweifelt und hoffe, ihr könnt mir helfen.


Ich brauche 2 Dinge:

a) Ein ganz simples Lauflicht. Steuerung über einen Input (Kippschalter), und es sollen einfach nur 8 LEDs durchlaufen werden, solange der Schalter auf +an* ist. Wahrscheinlich die einfachste Sache der Welt, blos habe ich keinerlei Ahnung von VHDL bzw. davon, wie ich nen Timer und/oder Zustandsübergänge realisieren kann.

b) Ein Würfel. Das ist wahrscheinlich schwieriger (zumindest stell ichs mir so vor ). Ähnliches Prinzip. Dachte mir, dass man das über ne prellende Taste machen kann. Beim Druck werden die Zustände durchlaufen und dann wird einer auf den entsprechenden LEDs ausgegeben .. evl. geht das aber auch gar nicht? Gibt es eine random-Funktion?


Ich glaube ihr seht, dass ich (zwar nicht blond aber trotzdem) ziemlich ahnungslos bin. Kann mir hier jmd. helfen? BITTE
nach oben
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 751 von 863
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj