VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
4-bit Register

Anfang   zurück   weiter   Ende
Autor Beitrag
Manuel
Gast
New PostErstellt: 27.04.06, 08:29  Betreff: 4-bit Register  drucken  weiterempfehlen Antwort mit Zitat  

Hallo

ich sollte für die Schule ein 4bit Register in VHDL schreiben.
Das ganze soll einfach aufgebaut sein,

sprich man sendet eine Kette an Werten in den Chip, eine Position, und eine Action.

Beim Eingang Action wird z.b. 01 übertragen, damit an der gewählten Position EINS gesetzt wird. (sprich set)
Bei 02 wieder RESET
Bei 03 ist es INVERT an der Position.

Leider habe ich ichüberhaupt kein Wissen im Bereich (bin da ganz ehrlich) und muss dieses Projekt morgen abgeben - ansonsten bin ich negativ.

Bitte um Hilfe...

LG
Manuel


-- mein derzeitiger code (funzt nicht)
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 08:24:09 03/23/06
-- Design Name:
-- Module Name: BitRegister - Behavioral
-- Project Name:
-- Target Device:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity BitRegister is
Port ( Werte : in std_logic_vector (4 downto 0);
Action : in std_logic_vector (1 downto 0);
Position : in std_logic_vector (4 downto 0);
Y : out std_logic);
end BitRegister;

architecture Behavioral of BitRegister is
begin
process (Action)
begin


IF (Action = "01") then
Werte(Position) <= '0';

elsif (Action = "10") then
-- SET
Werte(Position) <= '1';


elsif (Action = "11") then
-- INVERT
end if;

end process;



end Behavioral;
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj