VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Volker
Neuling


Beiträge: 5

New PostErstellt: 02.12.05, 11:38     Betreff: elektronischer Würfel & Zähler mit VHDL - HILFE - Antwort mit Zitat  

Songmics® Schmuckschrank Spiegelschr...
Hi,

ich muss mit VHDL einen elektronischen Würfel beschreiben und einen Zähler der immer die Werte "6", "5", "3" durchzählt.

Leider kriege ich das absolut nicht hin.

Wäre für Lösungsvorschläge, Anregungen etc. sehr dankbar.

DANKE SCHONMAL IM VORRAUS!!!

Gruß

Volker

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 657 von 863
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj