VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Volker
Neuling


Beiträge: 5

New PostErstellt: 02.12.05, 11:49     Betreff: Re: elektronischer Würfel & Zähler mit VHDL - HILFE - Antwort mit Zitat  

Nescafé Dolce Gusto Caffè Crema Gran...
Hi, nochmal hier die Aufgabenstellungen:

Zaehler: Beschreiben Sie mit VHDL einen Zähler, der den neben stehenden Code zyklisch durchzählt.

Zustand Q2 Q1 Q0
Z0(AW) 1 1 0
Z1 1 0 1
Z2 0 1 1

_____________________________________________________________


Elektronischer Würfel:
Sie sollen einen elektronischen "Würfel" entwickeln. Die idee ist sehr einfach: so lange der "Wurf" Knopf gedrückt ist (WURF=1), wird mit hoher Geschwindigkeit (f=1kHz) eine interne Würfelzahl zyklisch von 1 bis 6 gezählt. Wird Wurf losgelassen, so wird die ermittelte Zahl bis zum nächsten Würfeln ausgegeben. Während des Würfelns selbst soll eine blinkende 8 ausgegeben werden, d.h. abwechselnd ein Zustand 8, ein Zustand keine Ausgabe. Taktsignal clk und Reset-Signal res stehen zur verfügung.
Das Ausgabesignal des Würfels soll an eine 7-Segment-Anzeige direkt angeschlossen werden (s. Abb unten: Die Ziffern geben die Stelle des Ausgangsvektors valueN an, die an das Segmant angeschlossen ist). Durch Ausgabe einer Null leuchtet das entsprechende Segment.
Beschreiben sie den Würfel mit einem Zustandsdiagramm (nach Moore) und einer VHDL-Spezifikation.



7-Segment-Anzeige

0
5 1
6
4 2
3



Wie gesagt, Danke schonmal im Vorraus für eure Bemühungen.

Ixh bin auf eure Hilfe angewiesen.

Mit freundlichen Grüßen

Volker

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 675 von 863
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj