VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Volker
Neuling


Beiträge: 5

New PostErstellt: 03.12.05, 11:27     Betreff: Re: elektronischer Würfel & Zähler mit VHDL - HILFE - Antwort mit Zitat  

ADAC Campingführer 2014 Südeuropa: A...
Hi,
habe mich gestern mal hingesetzt und folgendes zu papier gebracht.
Leider bekomme ich beim compilieren jetzt immer ne Fehlermeldung, bei der ich nicht weiß was Sie bedeuten und wie ich sie beheben soll, hoffe mir ann einer von euch helfen!

Hier mein programm:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity wuerfel22 is
Port ( clk : in std_logic;
taster : in std_logic;
zahl : out std_logic_vector(6 downto 0));
end wuerfel22;

architecture Behavioral of wuerfel22 is
signal zahl_intern : std_logic_vector (6 downto 0);
signal zahl_internzwei : std_logic_vector (6 downto 0);
signal zahl_interndrei : std_logic_vector (6 downto 0);
begin
process (taster)
begin
if taster = '1' and clk = '1' then
zahl_internzwei <= "0000000";
case zahl_internzwei is
when "0000000" =>
zahl_internzwei <= "0000000";
zahl <= zahl_internzwei;
end case;
elsif taster = '1' and clk = '0' then
zahl_interndrei <= "1111111";
case zahl_interndrei is
when "1111111" =>
zahl_interndrei <= "1111111";
zahl <= zahl_interndrei;
end case;
end if;
end process;
process (clk)
begin
zahl_intern <= "1001111"; -- eins
if rising_edge (clk) then
if taster = '1' then
case zahl_intern is
when "1001111" => -- eins
zahl_intern <= "0010010"; -- zwei
when "0010010" =>
zahl_intern <= "0000110"; -- drei
when "0000110" =>
zahl_intern <= "1001100"; -- vier
when "1001100" =>
zahl_intern <= "0100100"; -- fünf
when "0100100" =>
zahl_intern <= "0100000"; -- sechs
when others =>
zahl_intern <= "0111000"; -- eins
end case;
end if;
end if;
end process;
zahl <= zahl_intern; -- Übergabe an Ausgabe asynchron -> real: zahl = zahl_intern
end Behavioral;


KANN MIR DABEI JEMAND WEITERHELFEN,DEN FEHLER ZU FINDEN UND ZU BEHEBEN?!

FÜR HILFE BIN ICH SEHR DANKBAR; KOMME NÄMLICH ABSOLUT NICHT WEITER!

MELDET EUCH BITTE!

DANKE SCHONMAL IM VORRAUS.
wuerfel.vhd un Fehlermeldung gibts auch nochmal im Anhang!

DANKE!

MIT FREUNDLICHEN GRÜßEN

Volker

P.S. diesen komischen 6, 5, 3 Zähler bräuchte ich auch noch



wuerfel22.vhd (1 kByte)
anzeigen - speichern
Datei wurde schon 440-mal heruntergeladen.
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 673 von 863
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj