VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
Erweiterungsboard

Anfang   zurück   weiter   Ende
Autor Beitrag
freshharry
Neuling


Beiträge: 5

New PostErstellt: 07.01.07, 09:14  Betreff: Erweiterungsboard  drucken  weiterempfehlen Antwort mit Zitat  

Hallo
Vieleicht kennt ja jemand das Erweiterungsboardvon für den Spartan 2 von Trenz Electronic mit der Leds, der 7 Segmentanzeige und den Tastern.

Versuche gerade von dort die Tasten einzulesene mit folgenden code

Zaehler: process (precount, reset_n)
begin -- process 3bit_Zaehler
if reset_n = '0' then -- asynchronous reset (active low)
state <= "000";
elsif precount'event and precount = '1' then -- rising clock edge
state <= state + 1;
end if;
end process Zaehler;

--connect busmux_o with the state
busmux_o <= state;

Multiplexer: process (state, led, seg3, seg2, seg1, seg0)
begin -- process Multiplexer
case state is
when "100" => iobus_b <= led;
when "010" => iobus_b <= seg3;
when "110" => iobus_b <= seg2;
when "001" => iobus_b <= seg1;
when "101" => iobus_b <= seg0;
when others => iobus_b <= (others => 'Z');
end case;
end process Multiplexer;

buttons_FF: process (clk48, reset_n)
begin -- process buttons
if reset_n = '0' then -- asynchronous reset (active low)
buttons <= (others => '0');
elsif clk48'event and clk48 = '1' then -- rising clock edge
if state = "111" then
buttons <= not iobus_b;
end if;
end if;
end process buttons_FF;

Also einerseits ist eine Ausgabe auf der 7 Segment Anzeige und den Leds vorgesehen. Wenn der State auf 111 ist sollten die Tasten eingelesen werden. eine gedrückte Taste ist eine 0 daher übergebe ich die Tasten mit den Schlüsselwort not! Leider ist esso das sobald ich die Tasten eingabe ind Programm gebe dasProgramm macht was es will!

Vielleicht weis ja jemand wie man das Problem lösen kann!

MFG Harald

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj