VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
Nor-Element mit 2 Eingängen

Anfang   zurück   weiter   Ende
Autor Beitrag
vhdl_student
Gast
New PostErstellt: 18.11.13, 14:10  Betreff: Nor-Element mit 2 Eingängen  drucken  weiterempfehlen Antwort mit Zitat  

Hallo Leute,

ich bin absoluter Anfänger und möchte ein NOR-Element mit den Eingängen A und B erstellen. Leider klappt es nicht, aber ich finde den Fehler nicht:

Hier der Quellcode. Hoffe, mir kann jemand weiterhelfen:



library ieee;
use ieee.std_logic_1164.all;

entity nor2 is

port (
A, B: in std_logic;
Y: out std_logic
);

end entity nor2;


architecture behavior of nor2 is

begin
main: process(A,B) is

begin
Y <= A nor B;

end process main;

end architecture behavior
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj