VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
Problem mit VHDL Code

Anfang   zurück   weiter   Ende
Autor Beitrag
Razdraz
Gast
New PostErstellt: 15.07.10, 11:48  Betreff:  Problem mit VHDL Code  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

Hallo,
da ich demnächst eine Prüfung schreiben muss in der ein wenig VHDL gefordert wird, hab ich mir mal Active HDL heruntergeladen um mich ein wenig einzuarbeiten.

Doch jetzt komm ich grad ums verrecken nicht mehr weiter.

Mein Ziel ist es eine ganz simple Schaltung, bestehend aus 2 Invertern, welche hintereinander geschaltet werden, zu programmieren.

Ich will einen Inverter benutzen den ich zuvor schon folgenermaßen programmiert habe:

entity inverter is
port( a : in bit;
b : out bit);
end inverter;



architecture inv_bhv of inverter is
begin
b <= NOT a AFTER 1 ns;
end inv_bhv;



Dann habe ich folgendes für "Hauptprogramm" geschrieben:


library ieee;
use ieee.std_logic_1164.all;

ENTITY invinv IS
port(D_IN : IN BIT :='0';
D_OUT : OUT BIT :='0');
END invinv;



architecture netlist of invinv is
signal out_1 : std_logic :='1';

component inv
port(a: IN BIT; b : OUT BIT);
end component;

begin
INV_1 : inv
port map (D_IN, out_1);
INV_2 : inv
port map (out_1, D_OUT);
end netlist;



configuration konfi OF invinv is
for netlist
for INV_1, INV_2 : inv USE ENTITY
work.inverter(inv_bhv);
end for;
end for;
end konfi;


Habe diesen Code aus dem Skript der Vorlesung zusammengestellt. Dort war ein Beispiel eines RS-FF mit 2 NAND-Gattern beschrieben.

Ich bekomme bei meinem Code einige Fehlermeldungen:
# Compile Architecture "netlist" of Entity "invinv"
# Warning: ELAB1_0026: INVINV.vhd : (19, 0): There is no default binding for component "inv".(No entity named "inv" was found).
# Error: COMP96_0100: INVINV.vhd : (20, 18): Actual parameter type in port map does not match the port formal type "b".
# Error: COMP96_0100: INVINV.vhd : (22, 12): Actual parameter type in port map does not match the port formal type "a".
# Compile Configuration "konfi"
# Error: COMP96_0209: INVINV.vhd : (28, 6): Unknown architecture name used in configuration declaration.

Ich werd nicht schlau draus, zumal ich einige ähnliche Beispiele gesehen habe, die genau so programmiert waren.
Ich hoffe mir kann jemand Helfen dieses simple Programm zum laufen zu bringen :-)

Danke, razdraz
nach oben
K
Gast
New PostErstellt: 20.07.10, 11:35  Betreff: Re: Problem mit VHDL Code  drucken  weiterempfehlen Antwort mit Zitat  

Hi Razdraz,

deine Component sollte inverter heissen, versuchs mal damit, die umzubenennen.

Gruss,

K.
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj