VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
Quantities und ihre Folgen...

Anfang   zurück   weiter   Ende
Autor Beitrag
Karol
Registrierter Benutzer


Beiträge: 1

New PostErstellt: 21.04.08, 10:13  Betreff: Quantities und ihre Folgen...  drucken  weiterempfehlen Antwort mit Zitat  

Hi zusammen,

habe folgenden VHDL Code in einer Testbench:

library ieee;
use ieee.math_real.all;
use ieee.electrical_systems.all;


entity tb_aplf_passive is

end entity tb_aplf_passive;


architecture tb_aplf_passive_ams of tb_aplf_passive is

-- port map of aplf-sys-ea.vhd
terminal VCI : electrical;
terminal VCO : electrical;
terminal VSSA : electrical;

-- generating transient input-currents
quantity q_V_VCI across q_I_VCI through VCI;

-- input frequency
signal tran_freq:real:=0.0;

-- input amplitude
quantity tran_mag:real:=1.0;

-- circuit-frequency of input-voltage
quantity tran_w: real:=0.0;

-- generating ac input-currents
-- quantity tran_phase:real:=1.0e-12;

-- tran_phase from degree to circuit-value
-- constant phase: real:= tran_phase * math_deg_to_rad;


begin

-- acquirement circuit-frequency
process is
begin
tran_freq <= tran_freq + 500.0e3 after 50ns;
wait for 50ns;
end process;

tran_w == math_2_pi * tran_freq;
break;

q_V_VCI == tran_mag * sin(tran_w'integ);

-- instantiantion of module aplf_passive-sys-ea.vhd
inst1: entity aplf_passive(aplf_passive_ams)
port map (
VCI => VCI,
VCO => VCO,
VSSA => VSSA
);

end architecture tb_aplf_passive_ams;

-------------------------------------------------------------------------------------

So, wenn ich das nun kompilieren möchte, kommt dabei folgendes heraus:

line 85:
Signal TRAN_FREQ is used in a simultaneous statement. A break statement may be needed.
Error: The characteristic number (3) does not match the number of scalar equations (2) [LRM section 12.6.6]

Hat jemand einen kleinen Tipp für mich parat wie ich die "characteristic number" von 3 auf 2 drücken könnte? Denn so wie ich das verstehe, hätte ich dann 2 Unbekannte und 2 Gleichungen, richtig?

Bin noch blutiger Anfänger im Industriepraktikum meines Studiums, von daher nicht schimpfen wenn ich nur Shit verzapft habe da oben. Diese Testbench soll einen LPF 3.Ordnung anregen.

Viele Grüße
Karol

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj