VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
VHDL Befehlsliste

Anfang   zurück   weiter   Ende
Autor Beitrag
Viktor Obrist
Gast
New PostErstellt: 28.11.06, 10:34  Betreff: VHDL Befehlsliste  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

Hallo!

Hat jemand eine VHDL Befehlsliste für mich?

Danke im vorraus Viktor
nach oben
DaMicha
Stammgast


Beiträge: 27
Ort: Rostock

New PostErstellt: 28.11.06, 12:19  Betreff: Re: VHDL Befehlsliste  drucken  weiterempfehlen Antwort mit Zitat  

Hallo Viktor.

"Schaltungsdesign in VHDL" ist gleich ein ganzes Buch und wie ich finde ein sehr gutes. Hat auch ein Stichwortverzeichnis :
http://www.itiv.uni-karlsruhe.de/opencms/opencms/de/study/vhdl/book/download.html

Ansonsten vielleicht:
http://www.vhdlbuilder.com/index.php?page=reference
oder
http://tech-www.informatik.uni-hamburg.de/vhdl/doc/kurzanleitung/vhdl.pdf



Gruß DaMicha.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Viktor Obrist
Gast
New PostErstellt: 31.12.06, 12:19  Betreff: Re: VHDL Befehlsliste  drucken  weiterempfehlen Antwort mit Zitat  

Danke!

Wir haben jetzt ein Mach OX Board nur leider kommt hier ne Fehlermeldung die ich nich zu deuten weiß. jemand ne Ahnung??

Danke im Vorraus

Hier das File:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity firstb is

port(
A: in std_logic ;
B: in std_logic ;
C: out std_logic );
end;

architecture firstc of firstb is
begin


if (A='1') -- Hier kommt diese Fehlermeldung jemand ne ahnung was ich falsch mache
then outC<='1' --@E: CD216 :"D:\EIGENE~3\schule\5art\matura\DIPLOM~1\13EABF~1.SCH\v1.vhd":18:0:18:1|label required for generate
else outC<='0'
end if

end firstc;
nach oben
BerndR
Stammgast


Beiträge: 29

New PostErstellt: 10.01.07, 11:04  Betreff: Re: VHDL Befehlsliste  drucken  weiterempfehlen Antwort mit Zitat  

Hallo Viktor,

if Abfragen sind nur innerhalb von Prozessen erlaubt.

Bernd

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj