VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
Vector aufzählen

Anfang   zurück   weiter   Ende
Autor Beitrag
Martin
Gast
New PostErstellt: 26.04.07, 20:09  Betreff: Vector aufzählen  drucken  weiterempfehlen Antwort mit Zitat  

Ein Hallo an die Profis

Ich sollte in VHDL für einen test einen std_ulogic_vector von 8 bit in einem Loop oder so binär aufzählen lassen, sprich ich beginne bei "00000000" dann "00000001", dann "00000010" bis "11111111".

Geht das so auf die schnelle?

Kann mir da bitte jemand helfen, Bedanke mich ganz herzlich zum Voraus

Gruss
Martin
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj