VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
VHDL-syntax error

Anfang   zurück   weiter   Ende
Autor Beitrag
AlexHoppus
Gast
New PostErstellt: 25.05.10, 10:04  Betreff:  VHDL-syntax error  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

Hello. Can any one tell me please, why i can use case operator only inside process.
The second question - why i can't realize this code. And which right code may substitute it?

process(op)
begin
case op is
when "00" =>
addition: c_l_addr port map (op1=>X,op2=>Y,c_in=>'0',c_out=>c_sum_out,sum=>sum_out));
when "01" =>
substract: substractor port map (c_in=>'0',c_out=>c_sub_out,op1=>X,op2=>Y,dif_sign=>c_sub_sign,dif=>sub_out);
when "10" =>
multiplier: carry_save_mult port map(X=>X,Y=>Y,P=>mul_out);
when "11" =>
divider: divider port map (X=>X,Y=>Y,Q=>div_q_out,R=>div_r_out);
end case;

(Of course i discribed all component above.)oject/3
it gives me next error.

ERROR:HDLParsers:164 - "D:/Nex_Xilinx_project/32_razryadnoe_ALU/32_razryadnoe_ALU.vhd" Line 402. parse error, unexpected PORT, expecting OPENPAR or TICK or LSQBRACK
WARNING:HDLParsers:901 - "D:/Nex_Xilinx_project/32_razryadnoe_ALU/32_razryadnoe_ALU.vhd" Line 402. Label addition is ignored.
ERROR:HDLParsers:164 - "D:/Nex_Xilinx_project/32_razryadnoe_ALU/32_razryadnoe_ALU.vhd" Line 404. parse error, unexpected PORT, expecting OPENPAR or TICK or LSQBRACK
WARNING:HDLParsers:901 - "D:/Nex_Xilinx_project/32_razryadnoe_ALU/32_razryadnoe_ALU.vhd" Line 404. Label substract is ignored.
ERROR:HDLParsers:164 - "D:/Nex_Xilinx_project/32_razryadnoe_ALU/32_razryadnoe_ALU.vhd" Line 406. parse error, unexpected PORT, expecting OPENPAR or TICK or LSQBRACK
WARNING:HDLParsers:901 - "D:/Nex_Xilinx_project/32_razryadnoe_ALU/32_razryadnoe_ALU.vhd" Line 406. Label multiplier is ignored.
ERROR:HDLParsers:164 - "D:/Nex_Xilinx_project/32_razryadnoe_ALU/32_razryadnoe_ALU.vhd" Line 408. parse error, unexpected PORT, expecting OPENPAR or TICK or LSQBRACK
WARNING:HDLParsers:901 - "D:/Nex_Xilinx_project/32_razryadnoe_ALU/32_razryadnoe_ALU.vhd" Line 408. Label divider is ignored.
ERROR:HDLParsers:812 - "D:/Nex_Xilinx_project/32_razryadnoe_ALU/32_razryadnoe_ALU.vhd" Line 407. A value is missing in case.
ERROR:HDLParsers:164 - "D:/Nex_Xilinx_project/32_razryadnoe_ALU/32_razryadnoe_ALU.vhd" Line 410. parse error, unexpected SEMICOLON, expecting PROCESS
nach oben
Gast
New PostErstellt: 25.05.10, 12:58  Betreff: Re: VHDL-syntax error  drucken  weiterempfehlen Antwort mit Zitat  

Case inside process, not outside?
Hm, that's how it is defined in the VHDL syntax. Nothing you can change about. If you want to use as concurrent assignment you should use with .. select construct.

Your error messaged:
I believe it is not allowed to instantiate a component within such a construct, or within a process. Again, this is VHLD syntax definition. Details can surely be found in the LRM.
nach oben
NicoleGWhitehead
Registrierter Benutzer


Beiträge: 1

New PostErstellt: 25.05.21, 15:17  Betreff: Re: VHDL-syntax error  drucken  weiterempfehlen Antwort mit Zitat  

You shared this error message in a big detail for the people who want to know about and give the solution. It is good to use assignment help online for the best ideas ad amazing services.

nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
SEO Expert
Gast
New PostErstellt: 28.02.24, 11:36  Betreff: Re: VHDL-syntax error  drucken  weiterempfehlen Antwort mit Zitat  

I can see that you are an expert at your field! I am launching a website soon, and your information will be very useful for me.. Thanks for all your help and wishing you all the success in your business. errordomain=nscocoaerrordomain&errormessage=could not find the specified shortcut.&errorcode=4
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj