VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
LukeS
Neuling


Beiträge: 6

New PostErstellt: 16.07.06, 19:36     Betreff: # ** Error: (vsim-3601) Iteration limit reached at time 0 ns. Antwort mit Zitat  

Justeel Herren Legierung Echt Leder ...
Wenn ich eine Simulation in ModelSim Starte, krieg ich immer folgende Meldung:

# ** Error: (vsim-3601) Iteration limit reached at time 0 ns

Hatte die zuvor nie... jetzt kommt sie plötzlich bei allem, das ich testen möchte.

Hat jemand eine ahnung, an was dass es liegen könnte?

Vielen Dank für eure Hilfe!

Gruss LukeS

Edit: übrigens mein Projekt (falls es jemanden interessiert): www.zhwin.ch/~woodtluk/DCF77.zip


[editiert: 16.07.06, 19:41 von LukeS]
nach oben
Benutzerprofil anzeigen Private Nachricht an dieses Mitglied senden
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 487 von 635
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj