VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks

Anfang   zurück   weiter   Ende
Autor Beitrag
Rick
New PostErstellt: 23.02.07, 14:17     Betreff: Re: case Anweisung Antwort mit Zitat  

Bravo the Hits 2013
Hi Sofien,

ich erhalte diese Fehlermeldung auch, wenn die Bitbreite des Vektors über eine Konstante definiert wird.

Beispiel:
constant AD_MSB_MAIN: integer := 4;
constant AD_LSB: integer := 2;
signal vFpgaCheckAddr: std_logic_vector(AD_MSB_MAIN downto AD_LSB)
...
case vFpgaCheckAddr is
...

Wenn ich aber vFpgaCheckAddr als std_logic_vector(4 downto 2) definiere, dann gibts keine Probleme im Modelsim. Modelsim scheint wahrscheinlich nicht so recht mit Konstanten oder offenen Vektorgrenzen umgehen zu können

Versuche mal Dein Glück, indem Du Deine Vektorgrenzen fest eingibst für n:

function CATANH(n: std_logic_vector(31 downto 0))...

Gruß

Rick
nach oben
Sortierung ändern:  
Anfang   zurück   weiter   Ende
Seite 483 von 635
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj