VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
VHDL-Forum
1 Treffer gefunden
Suchdauer: 0,01 Sekunden
VHDL-Forum Anfang   zurück   weiter   Ende
   Betreff   Autor   Datum 
No new posts flankengesteuertes D-Flip-Flop in VHDL! Notfall!!!!!
Hallo! Ich bräuchte dringend eure Hilfe! Hab herausgefunden, dass ich mich in einer Technische Informatik-Lehrveranstaltung mit einem richtig gelösten Übungsbeispiel auf einen Vierer retten könnte. Das Problem dabei: Es handelt sich um VHDL, für mich als Medieninformatiker ein Fremdwort… Die Aufgabe, die ich lösen möchte, ist Aufgabe 93, diese baut jedoch auf den vorher beschriebenen auf. Wie ein flankengesteuertes D-Flip-Flop funkt weiß ich noch, wie ich eines in VHDL umsetze ist mir jedoch ein Rätsel. Ich weiß, es ist viel verlangt, aber kann mir vielleicht irgendjemand helfen, und wenns nur ein Ansatz ist, das wäre mir extrem wichtig!!!! Tausend dank im Voraus für jede Antwort LG Aufgabe 85: VHDL NAND-Gatter Entwerfen Sie die Schnittstellenbeschreibungen und die Modellbeschreibungen (Verhal- tensmodelle) für ein NAND-Gatter mit 2 bzw. 3 Eingängen. Die Verzögerungszeit soll jeweils 10 ns betragen. Aufgabe 86 VHDL Flankengesteuertes D-Flip-Flop Erstellen Sie aufbauend auf Aufgabe 85 die Schnittstellen- und Modellbeschreibung (Struk- turmodell) für ein flankengesteuertes D-Flip-Flop . Aufgabe 88 VHDL Flankengesteuertes D-Flip-Flop Erweitern Sie Aufgabe 86 um eine zusätzliche Modellbeschreibung, die ausschließlich das Verhalten des °ankengesteuerten D-Flip-Flops beschreibt. Aufgabe 93 VHDL Flankengesteuertes D-Flip-Flop Erstellen Sie aufbauend auf Übungsblatt 9 (Aufgabe 88) die Schnittstellen- und Modellbe- schreibung (Verhaltensmodell) für ein flankengesteuertes D-Flip-Flop, das als zusätzlichen Eingang ein Initialisierungssignal init besitzt, welches das Flip-Flop zu Simulationsbeginn setzt bzw. rücksetzt (steigende bzw. fallende Flanke). Verwenden Sie für alle Signale den Datentyp STD LOGIC.
gmadesign 19.01.06, 14:48
 
 
1 von 1 Ergebnisse Anfang   zurück   weiter   Ende
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj