VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
VHDL-Forum
3 Treffer gefunden
Suchdauer: 0,02 Sekunden
VHDL-Forum Anfang   zurück   weiter   Ende
   Betreff   Autor   Datum 
No new posts AD- und DA-Wandler!?!
Hallo! Mit Freuden habe ich gerade dieses Forum entdeckt und hoffe bei Euch Hilfe zu finden. Ich möchte in VHDL bzw VHDL-AMS einen 12Bit AD- und einen 12 Bit-DA-Wandler schreiben. Leider kenne ich mich mit der Syntax noch nicht wirklich aus und habe trotzdem großen Zeitdruck. Kennt ihr vielleicht Seiten, wo fertige Bausteine vorhanden sind oder wisst ihr, wie das auf die Schnelle geht? Wo kann man denn Bibliotheken für Simplorer downloaden? Mit großem Dank schon einmal im Voraus.. Sebastian
Stehe_auf_der_Leitung 13.02.07, 10:42
No new posts AD- und DA-Wandler!?!
Hallo! Mit Freuden habe ich gerade dieses Forum entdeckt und hoffe bei Euch Hilfe zu finden. Ich möchte in VHDL bzw VHDL-AMS einen 12Bit AD- und einen 12 Bit-DA-Wandler schreiben. Leider kenne ich mich mit der Syntax noch nicht wirklich aus und habe trotzdem großen Zeitdruck. Kennt ihr vielleicht Seiten, wo fertige Bausteine vorhanden sind oder wisst ihr, wie das auf die Schnelle geht? Wo kann man denn Bibliotheken für Simplorer downloaden? Mit großem Dank schon einmal im Voraus.. Sebastian
Stehe_auf_der_Leitung 13.02.07, 10:41
No new posts AD- und DA-Wandler (ADC & DAC)
Hallo! Mit Freuden habe ich gerade dieses Forum entdeckt und hoffe bei Euch Hilfe zu finden. Ich möchte in VHDL bzw VHDL-AMS einen 12Bit AD- und einen 12 Bit-DA-Wandler schreiben. Leider kenne ich mich mit der Syntax noch nicht wirklich aus und habe trotzdem großen Zeitdruck. Kennt ihr vielleicht Seiten, wo fertige Bausteine vorhanden sind oder wisst ihr, wie das auf die Schnelle geht? Wo kann man denn Bibliotheken für Simplorer downloaden? Mit großem Dank schon einmal im Voraus.. Sebastian
Stehe_auf_der_Leitung 12.02.07, 16:26
 
 
3 von 3 Ergebnisse Anfang   zurück   weiter   Ende
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj