VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
VHDL-Forum
3 Treffer gefunden
Suchdauer: 0,02 Sekunden
VHDL-Forum Anfang   zurück   weiter   Ende
   Betreff   Autor   Datum 
No new posts # ** Error: (vsim-3601) Iteration limit reached at time 0 ns.
Wenn ich eine Simulation in ModelSim Starte, krieg ich immer folgende Meldung: # ** Error: (vsim-3601) Iteration limit reached at time 0 ns Hatte die zuvor nie... jetzt kommt sie plötzlich bei allem, das ich testen möchte. Hat jemand eine ahnung, an was dass es liegen könnte? Vielen Dank für eure Hilfe! Gruss LukeS Edit: übrigens mein Projekt (falls es jemanden interessiert): www.zhwin.ch/~woodtluk/DCF77.zip
LukeS 16.07.06, 19:36
No new posts Mehrere Entities miteinander verknüpfen
Hallo zusammen Unterdessen hab ich ein paar Entities programmiert, die (teilweise) auch getestet sind. Nun ergibt sich das Problem mit dem zusammensetzen der einzelnen Einheiten. Wie kann ich die miteinander "verkabeln"? Ich nehme an, dass ich einen Ausgang an mehrere Eingänge leiten kann. Vielen Dank für eure Hilfe! Gruss LukeS
LukeS 27.06.06, 19:45
No new posts Simulation
Hallo zusammen Ich bin neu hier... und VHDL ist mir auch ziemlich neu! Für die Schule muss ich einen DCF77 Decoder (Funk-Uhr) in VHDL programmieren. Ich werd euch also in nächster Zeit mit Fragen bombardieren. Hier mal die ersten Fragen: - Weiss jemand, wo es einfache Beispiele zu VHDL allgemein bzw. zur Signal-Decodierung gibt? Am liebsten wäre mir natürlich, wenn es sich um DCF77 handeln würde - Ich versuche einen Taktteiler zu programmieren. Wie soll ich das am besten machen? Übrigens: Ich arbeite mit ModelSim SE 6.0b und Crimson Editor Vielen Dank für eure Hilfe im Voraus! Gruss LukeS
LukeS 17.06.06, 14:59
 
 
3 von 3 Ergebnisse Anfang   zurück   weiter   Ende
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj