VHDL-Forum

 
Sie sind nicht eingeloggt.
LoginLogin Kostenlos anmeldenKostenlos anmelden
BeiträgeBeiträge MembersMitglieder SucheSuche HilfeHilfe
VotesUmfragen FilesDateien CalendarKalender BookmarksBookmarks
ichbins

Anfang   zurück   weiter   Ende
Autor Beitrag
std_logic_vector to integer
Gast
New PostErstellt: 03.06.10, 15:52  Betreff: ichbins  drucken  Thema drucken  weiterempfehlen Antwort mit Zitat  

timein : in std_logic_vector(5 downto 0); -- im Port-Body
signal y2: integer range 0 to 63; -- vor Beginn des Codes innerhalb der architecture
y2 <= conv_integer(timein);

Kann mir einer sagen, warum ich hier folgenden Fehler bekomme?
Error (10405): VHDL error at cnt_stunden.vhd(51): can't determine type of object at or near identifier "conv_integer" -- found 0 possible types

Includes:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;

Wie bekomme ich den Standard-Logic-Vector in eine Integer-Zahl konvertiert, um mit ihr weiter arbeiten zu können?
nach oben
Gast
New PostErstellt: 07.06.10, 15:09  Betreff: Re: ichbins  drucken  weiterempfehlen Antwort mit Zitat  

Lt. Google ...

The function provided by the std_logic_arith library can't convert a std_logic_vector to an integer because it is impossible to determine if it represents an unsigned or signed value. Functions that do this are included in the std_logic_unsigned and std_logic_signed libraries.

D.h. musst du anderst schreiben. Vielleicht hilft das ja weiter.
nach oben
Sortierung ndern:  
Anfang   zurück   weiter   Ende
Seite 1 von 1
Gehe zu:   
Search

powered by carookee.com - eigenes profi-forum kostenlos

Design © trevorj