Autor |
Beitrag |
ZewaS
Junior-Mitglied
Beiträge: 17
|
Erstellt: 10.03.04, 21:04 Betreff: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
Thema drucken weiterempfehlen
|
|
|
hallo, ich habe ein kleines problem mit meinem VHDL schaltungentwurf. Da ich eine etwas größeren schaltungsentwurf zu realisiern habe, bin ich wie folgt vorgegangen: habe einzelne packages entworfen und erfolgreich simuliert. um den ablauf der kompletten schaltung koordinieren zu können, habe ich ein schaltwerk entworfen das einzelne states durchlaufen soll. Jedoch, wenn ich dieses schaltwerk mit allen eingefügten packages compiliere tritt ein fehler auf. Error: Process "Translate" did not complete. als tatsächlicher Error wird mir folgendes angezeigt: ERROR: NGDBUILD605- logical root block 'schaltwerk' with type 'schaltwerk' is unexpanded. Symbol 'schaltwerk' is not supported in target 'xc9500'. der ausgewählte Baustein, für den ich dieses programm schreibe ist der xc95108-10pc84. diesen habe ich auch in meiner Xilinx software ausgewählt.
Leider habe ich nicht so viel erfahrung mit VHDL um auf die Ursache des Fehlers zu schließen. Auch habe ich habe das schaltwerk mehrere male abgeändert doch leider zeigt sich immer der selbe fehler. ich wäre sehr dankbar, wenn mir jemand bei diesem problem weiter helfen könnte. Gruß ZewaS
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
Erstellt: 10.03.04, 21:57 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Hallo,
kannst Du mir das Project zusenden? Ist so ein bisschen schwierig. Hast Du schon mal den xilinx Support kontaktiert? Was hat die Synthese für "errors" und "warnings" gezeigt?
Gruesse,
Michael
P.S: So ein Fehler tritt normalerweise auf wenn er etwas nicht übersetzt hat (unexpanded) findest du deine dateien in dem unterordner work wieder?
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
Erstellt: 11.03.04, 07:54 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Hallo,
vielen Dank erstmal für den Code. Ich brauche aber auch die packages.
Noch ein Tipp: mach für jede entity ein file! Das erleichtert die verifivcation und Fehlersuche, und entspricht den meisten designguidelines.
Gruesse,
Michael
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
Erstellt: 11.03.04, 16:03 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Hallö,
Du hast folgendes gemacht:
---------------------------------------------------------------------------------------------------------------- state<="1111"; werk: process (fastclk, rese, state, cy, q, enable, di) begin if ( fastclk='1' and fastclk'event)then
if state="1111" and rese='1' then state <= "0000"; else state <= "1111"; end if;
if cy='0'and state="0000" then state <= "0001"; end if;
if cy='1'and state="0000" then state<= "0010"; end if;
if q='0' and state="0010"then state <= "0011"; end if;
if q='1' and state="0010" then state<="0000"; end if;
if enable='1' and cy='0' and state="0011" then state <= "0100"; end if;
if enable='Z' and state="0011" then state<="0000"; end if;
if cy='1' and state="0100" then state <= "0101"; end if;
if di='0' and state="0101" then state <= "0110"; end if;
if di='1' and state="0101" then state<="0000"; end if;
if state="0110" then state <= "0111"; end if; end if; end process werk;
Du weisst dem Signal "sate" eien wert innerhalb und ausserhalb eines processes einen Wert zu. Das ist VERBOTEN : Multisource.
Weiterhin schreiben die componeten "test" und "daten" gleichzeitig auf die Signale b c d e. Auch das ist verboten: Multisource
Ausßerdem solltest Du wie schon erwähnt den package kram weglassen. Das macht man nicht, und außerdem geht es glaube ich auch nicht eine entity innerhalb eines packages zu declarieren.
Ändere bitte sachen und schicke mir die files erneut zu.
Kannst auch meine priv. email nutzen. siehe Mitgliederdaten
Gruesse,
Michael
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
Erstellt: 11.03.04, 16:31 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Danke für diese ratschläge. ich werde mein programm gleich umschreiben. Jedoch verstehe ich ihren Vorschlag nicht, dass ich die packages weglassen soll. ihrendwie muß ich die files doch in mein schaltwerk einbinden, ohne das ich alles in ein file schreibe. wie muß ich die packages umschreiben, dass sie korrekt sind? danke für ihre mühe. mit freundlichen grüßen zewas
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
Erstellt: 11.03.04, 16:47 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
lösche einfach aus jeden file die package declerationen.
Im "Schaltwerk" brachst du nur die componeten zu declarieren.
Gruesse,
Michael
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
Erstellt: 11.03.04, 17:10 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
ok, werde ihre ratschläge ausprobieren. sende das projekt ihnen später per email.
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
Erstellt: 13.03.04, 15:06 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Haben sie die email bekommen? wenn nicht, sende ich sie ihnen nochmal. mit freundlichen grüßen ZewaS
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
Erstellt: 13.03.04, 21:44 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Hallo,
wenn das ganze jetzt funktioniert, ist das ja schon mal gut.
Hier noch einige Tipps zum Coding-Style:
folgendes : if ( fastclk='1' and fastclk'event)then sollte so gemacht werden: if rising_edge(fastclk) then
der "werk" process ist im Prinzip eine STATE Machine. Er sollte dann auch so beschrieben werden. Du solltest Dir ansehen wie man State-Machines in VHDL codiert.
Es ist zu empfehlen: port map (rese, cy, takt, q, dout, ain); so zu schreieben port map (rese => ...., cy => ....., takt => ....., .... );
----------------
elsif cy ='0'and slclk'event and slclk='0' then
Hier sollte cy aus der clock beschreibung des FF rausgenommen werden (extra beschreiben)
-----------------
Der process übergabe erzeugt ein latch - latches solten vermieden werden - nur getacktete processe
ok - das wars deke ich.
noch viel spass
Michael
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
Erstellt: 14.03.04, 18:17 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Können Sie mir einige anregungen geben, wie ich meinen process "werk" zu einer state machine umschreibe. bislang hab ich noch keine hilfreiche Lösung gefunden. mit freundlichen Grüßen ZewaS
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
Erstellt: 16.03.04, 11:39 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Hast Du die portdeclerationen vom Adressschieberegister geändert?
Hier die componentendecleration:
component Adressschieberegister port(r: in std_logic; intclk: in std_logic; --Takt von 500kHz-- cy:out std_logic; --freigabe des adressschieberegister-- clk:in std_logic; --reset:in std_logic; --manuelles Rücksetzen des Adress-FlipFlops-- q: out std_logic; dout:out std_logic_vector (7 downto 0); --Adress-Schieberegisterausgang-- din: in std_logic); end component;
Hier die entity :
entity Adressschieberegister is port(r: in std_logic; --intclk: in std_logic; --Takt von 500kHz-- cy:in std_logic; --freigabe des adressschieberegister-- clk:in std_logic; --reset:in std_logic; --manuelles Rücksetzen des Adress-FlipFlops-- q: out std_logic; dout:out std_logic_vector (7 downto 0); --Adress-Schieberegisterausgang-- din: in std_logic);
end Adressschieberegister;
Das passt nicht.
Gruesse,
Michael
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
Erstellt: 16.03.04, 15:54 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Bei mir funktioniert das einwandfei mit den sourcen die du reingestellt hast.
Gruesse,
Michael
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
ZewaS
Junior-Mitglied
Beiträge: 17
|
|
nach oben |
|
|
Dr. Faustus
Administrator
Beiträge: 107 Ort: Aßling
|
Erstellt: 20.03.04, 09:13 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Hallö,
ich würde sagen wir sollten mal überlegen warum Du den fehler hast und ich nicht. Sind die files richtig eingebunden'? Hast du einen Rerun all gemacht?
Mit einem toplevel schaltbild würde ich nicht arbeiten.
Gruesse,
Michael
|
|
nach oben |
|
|
salas
Gast
|
Erstellt: 22.04.06, 15:57 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
hallo leute von heute...,
kann jemand mir zeigen , wie kann ich ein multiplizierer und ein Addierer in Vhdl abbauen????? hat jemand das schon gemacht ich bedanke mich im voraus
salas
|
|
nach oben |
|
|
salas
Gast
|
Erstellt: 22.04.06, 16:03 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
Hallo Leute von Heute,
ich hätte mal gern ein Multiplizierer und ein Addierer abbauen...,
in VHDL ..hat jemand schon mal das gemacht...,das möchte ich für
ein Fir-Filter. Ich bedanke mich.
Salas
|
|
nach oben |
|
|
xiaoou
Vielschreiber
Beiträge: 53
|
|
nach oben |
|
|
thomaslist
Gast
|
|
nach oben |
|
|
Arezaza
Neuling
Beiträge: 6
|
Erstellt: 01.09.22, 09:22 Betreff: Re: Xilinx Web Pack, VHDL, ERROR NgdBuild:605
drucken
weiterempfehlen
|
|
|
https://azintahvie.niloblog.com/ منظور از تن خنک کننده یا تن تبرید در برج خنک کننده آب چیست؟ کلمه «تن خنک کننده» در واقع واحد اندازه گیری میزان سرمایش در تجهیزات برودتی مانند چیلرها است. با مرور تاریخچه متوجه می شویم که واژه تبرید مربوط به قرن هجدهم است که در آمریکای شمالی استفاده می شده است، در آن زمان از قالب های بزرگ یخ برای تامین سرمای مورد نیاز استفاده می شده است. از نظر علمی باید گفت که یک تن تبرید معادل مقدار گرمایی است که یک دستگاه خنک کننده (چیلر یا برج خنک کننده از یک تن یخ در 24 ساعت می گیرد تا آن را به آب تبدیل کند. این عمل در دمای 0 درجه سانتیگراد یا 32 درجه فارنهایت (144 BTU) انجام می شود که با فرمول زیر بیان می شود.
یک تن تبرید = ۱۴۴×۲۰۰۰/۲۴ = ۱۲۰۰۰ Btu/hr
One Refrigeration Ton = (2000 lbs * 144 Btu/lbs) / 24 hr = 12000 Btu/hr
باید در جریان باشید که در حال حاضر نیز از واژه " تن تبرید" برای بیان ظرفیت تجهیزات برودتی مانند چیلرهای جذبی و تراکمی و البته در کولینگ تاور ها نیز استفاده می شود.
بطور مثال در بین سازندگان برج خنک کننده و کارفرمایان تاسیساتی می شنویم که گفته می شود یک دستگاه چیل800 تن یا 200 تن تبرید یا یک واحد برج خنک کننده 250 تن یا 500 تن تبرید مورد نیاز پروژه فعلی می باشد. اما بطور مهندسی و در مبانی اصلی ترمودینامیک این واژه معیار سنجش قدرت تجهیزات برودتی محسوب می گردد. تبدیل واحد های تن تبرید، واحد جابجایی انرژی در زمان یعنی تن تبرید (RT) هم ارز یکاهایی همچون KW و BTU/H است. می توان گفت که هر تن تبرید برابر با 3.52 کیلو وات و برابر با 12000 پوند انرژی بر ساعت می باشد.برای دیگر تبدیل واحد ها می توانید به سایت https://kitset.ir/مراجعه نمایید.
|
|
nach oben |
|
|
|